EEVblog #636 - FPGA Demo Boards - DE0 Nano

  • 10 years ago
Dave checks out several FPGA demo boards, and tries out the DE0 Nano and Altera Quartus II software.
http://www.terasic.com.tw/cgi-bin/page/archive.pl?Language=English&No=593
Digilent Basys2: www.digilentinc.com/BASYS2
Papilio One: papilio.cc
NOTE: Yes, this video has some audio problems, sorry, it was in the original source files.

EEVblog Main Web Site:
http://www.eevblog.com
EEVblog Amazon Store:
http://astore.amazon.com/eevblogstore-20
Donations:
http://www.eevblog.com/donations/
Projects:
http://www.eevblog.com/projects/
Electronics Info Wiki:
http://www.eevblog.com/wiki/